1. 主页
  2. 文档
  3. Arduino教程(持续更新)
  4. 2.基础例程
  5. 2.1 Hellow World!

2.1 Hellow World!

简介:

首先先来练习一个不需要其他辅助元件,只需要一块Arduino 和一根下载线的简单实验,让arduino上面的一个LED实现有规律的闪烁,这也是一个入门试验,希望可以带领大家进入Arduino 的世界。

原理:

Arduino 自带的数字13 口LED,让Arduino 接受到指令时LED 闪烁一下。

视频效果:

程序:

void setup() {
 // initialize digital pin LED_BUILTIN as an output.
 pinMode(LED_BUILTIN, OUTPUT);
 }
 // the loop function runs over and over again forever
 void loop() {
 digitalWrite(LED_BUILTIN, HIGH); // turn the LED on (HIGH is the voltage level)
 delay(1000); // wait for a second
 digitalWrite(LED_BUILTIN, LOW); // turn the LED off by making the voltage LOW
 delay(1000); // wait for a second
 }
这篇文章对您有用吗?

我们要如何帮助您?

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注